ByteBlaster II 互換ケーブル 製作レポート


注意
私が1チップMSX用にネットでByteBlaster IIについてググった結果を元に製作したダウンロードケーブルです。
互換ケーブルとなっていますが、動作を保障するものではありません。
使用の際には自己責任でお願いします。
このレポートを元にケーブルを製作し、1チップMSXもしくは他のFPGAデバイスに使用したことによって不具合や故障が発生したとしても責任は負えません。


----- 部品表 -----

----- 回路図 -----
来栖川電工KEI-CQLEDSW : CQ出版社DWM付録サイクロンボード専用機能評価基板
www.algosix.com - 電子情報サイトByteBlaster2 回路図
を参照

----- 配線図 -----
配線図

----- 組み立て手順 -----
1)まずは基板をDサブコネクタ用ケースに収まるサイズに切断する。

2)Dサブ25ピンコネクタの18〜25番ピンからGNDに繋がる配線を行い基板に固定。

3)Dサブ25ピンコネクタに100Ωの抵抗を半田付け。

4)シール基板を切り取って基板に取り付け、ICを半田付けする。
 シール基板は28ピンになっているので、前後2ピン分は切り取った。

5)100Ωとプルアップの4.7KΩをICにつなげる。

6)IC周りのパーツやLEDに繋がるパーツをつなげる。

7)フラットケーブルからICに繋がる線を半田付け

8)基板が組み終わったら、Dサブコネクタ用ケースに組み込んで完成。

基板表
基板裏
ケース組み込み ケースのフラットケーブルが出る側は塩ビ板でふたをしている


----- 使用方法 -----
まずはByteBlaster IIのデバイスドライバのインストールを行う。
(Quartus IIのインストールが終わっていること)
インストール方法はこちら

1チップMSXで、とりあえず書き換えた結果がもっとも分かりやすいところで、LEDの点滅パターンを変更することにする。
『emsx_top.vhd』の810〜828行を以下のように書き換えてコンパイルを実施。
私の環境では約15分ぐらいかかった。

コンパイル方法は1chipMSXのコンパイル手順を参考にした。

                                                                                                 

      if (cnt = "000000000000000000000") then	-- 21.48MHz / 2^21(approx:2M) => 10Hz
        case seq is
          when "0010"  => pLed <= "00000001";  iDipLed(2) <= not pDip(2);
          when "0011"  => pLed <= "00000011";  iDipLed(1) <= not pDip(1);
          when "0100"  => pLed <= "00000111";  iDipLed(0) <= not pDip(0);
          when "0101"  => pLed <= "00001111";  iDipLed(1) <= not pDip(1);
          when "0110"  => pLed <= "00011111";  iDipLed(2) <= not pDip(2);
          when "0111"  => pLed <= "00111111";  iDipLed(3) <= not pDip(3);
          when "1000"  => pLed <= "01111111";  iDipLed(4) <= not pDip(4);
          when "1001"  => pLed <= "1111111Z";  iDipLed(5) <= not pDip(5);
          when "1010"  => pLed <= "111111Z0";  iDipLed(6) <= not pDip(6);
          when "1011"  => pLed <= "11111Z00";  iDipLed(7) <= not pDip(7);
          when "1100"  => pLed <= "1111Z000";  iDipLed(6) <= not pDip(6);
          when "1101"  => pLed <= "111Z0000";  iDipLed(5) <= not pDip(5);
          when "1110"  => pLed <= "11Z00000";  iDipLed(4) <= not pDip(4);
          when "1111"  => pLed <= "1Z000000";  iDipLed(3) <= not pDip(3);
          when others  => pLed <= "ZZZZZZZZ";  iDipLed    <= not pDip   ;
        end case;
      end if;

作成したケーブルを1チップMSXに接続し、コンパイルをして出来上がった『emsx_top.pof』を書き込む。
書き込んでいる最中は作成したケーブルのConfig DoneのLEDは消灯している。
書き込みが終了するとConfig DoneのLEDが点灯する。

FPGA書き込み 分かりにくいが、書き込み中は1チップMSXのLEDが薄く点灯している
書き込み後 書き込みした結果。分かりにくいが、複数のLEDが点灯している
LED 書き換えた1チップMSXの様子(GIFアニメ)



参考リンク

1chipMSX Wiki
1チップMSXのwiki
ここで各種情報や各サイトへのリンクが貼ってある。

1chipMSXのコンパイル手順
Jun's Homepageにある1chipMSXのコンパイル手順


戻る

nazo-fjt.com